Event Agenda

Agenda

Keynote

time iconAugust 22, 2024 09:10 am

Welcome Remarks: Brian Sung, Country Manager, Cadence Taiwan

time iconAugust 22, 2024 09:20 am

[Cadence] Powering the Future of Innovation in an AI-Driven Era

The landscape of electronic design is being transformed, driven by generative AI, digital twin, and advanced-node technologies. Cadence is at the forefront of this transformation, offering a comprehensive suite of chips-to-system solutions that empower our partners to innovate faster, with greater efficiency and productivity. We will discuss how the innovations in Cadence’s AI-driven solutions for electronic and systems design are helping customers create their amazing products and solutions.

speaker headshot

Dr. Chin-Chi Teng
Senior Vice President and General Manager, Digital & Signoff Group, Cadence

time iconAugust 22, 2024 09:50 am

[Phison] Phison aiDAPTIV+: Accelerate Business AI Access (群聯aiDAPTIV+: 企業AI快車道,賦能無極限)

目前雲端生成式AI的普及面臨多重挑戰,包括高昂的運算成本、資料隱私安全風險、以及不符合特定專業領域的應用。群聯Phison提出的獨家專利aiDAPTIV+方案能有效應對這些挑戰。該方案將AI模型微調運算轉移到地端設備,實現敏感資料本地化處理,從而降低成本、增強隱私保護、並提專業高靈活性。這一創新解決方案為生成式AI的廣泛應用鋪平道路,尤其適用於對資料安全和專業領域要求較高的場景,有望加速AI技術在各行業的落地與普及。 The widespread adoption of cloud-based generative AI faces multiple challenges, including high computational costs, data privacy risks, and the mismatch with specific professional applications. Phison's proprietary aiDAPTIV+ solution effectively addresses these challenges. This solution shifts AI model fine-tuning computations to local devices, enabling sensitive data to be processed locally. This reduces costs, enhances privacy protection, and provides high flexibility for specialized applications. This innovative solution paves the way for the broad application of generative AI, particularly in scenarios with high data security and professional requirements, and is expected to accelerate the deployment and adoption of AI technology across various industries.
speaker headshot

KS Pua
Founder & CEO, Phison Electronics (群聯電子 創辦人暨執行長 潘健成 )

time iconAugust 22, 2024 10:20 am

DESIGNER EXPO and BREAK

time iconAugust 22, 2024 10:40 am

[Realtek] 汽車產業大趨勢的現狀、機會與挑戰

speaker headshot

Albert Kuo
Senior Chief Officer, CNBG Automotive Ethernet BU, Realtek (瑞昱半導體 車用電子 資深總監 郭協星)

time iconAugust 22, 2024 11:10 am

[Metanoia] Building a 5G SOC

Metanoia is the only company providing 5G O-RAN chip solutions in Taiwan. Specializing in software-defined radio (SDR) technology, Metanoia aims to offer innovative solutions for the 5G Open RAN infrastructure. Their advanced technologies enable cost-effective and efficient deployment of O-RU, disrupting traditional models. This presentation also discusses the ASIC and COT models utilized in Metanoia’s design flow. 

Overall, Metanoia is positioned to play a pivotal role in the evolution of 5G technology, driving advancements in network architecture and contributing to the growing demand for robust and efficient wireless communication solutions.

speaker headshot

C.Y. Chang
VP of Hardware Technology, Metanoia Communications Inc. (義傳科技 技術副總 張俊彥)

time iconAugust 22, 2024 11:40 am

Keynote by Cadence & NVIDIA - Accelerating AI-Driven Digital Twins of Data Centers

Accelerating AI-Driven Digital Twins of Data Centers

speaker headshot

Ben Gu
Corporate VP of R&D Multi-Physics System Analysis, Cadence (多物理系統分析事業群 全球研發副總裁)

speaker headshot

Eunice Chiu
Vice President and General Manager Taiwan, NVIDIA (輝達 全球副總裁暨台灣區總經理 邱麗孟)

time iconAugust 22, 2024 12:00 pm

Lunch Time

Automotive

time iconAugust 22, 2024 01:30 pm

[SGS] Design Failure Overview, Effective fault Injection and Design Mitigation Consideration

To effectively mitigate failures in advanced IC, it’s critical to understand potential failures. With an understanding of potential failures and correctly identify high impact and critical design elements, engineers can then validate the design and the mitigation scheme through various verification techniques such as simulation, fault injection, and accelerated radiation testing. Commonly deployed mitigation schemes such as triple module redundancy and design considerations will also be addressed to ensure the common EDA’s automated feature is deployed adequately.

speaker headshot

Chen Wei Tseng
Technical Manager,SGS

speaker headshot

Jeff Chang
SGS

time iconAugust 22, 2024 02:00 pm

[Realtek] FMEDA-driven Functional Safety Closure

speaker headshot

YJ Chen
Manager, Realtek

time iconAugust 22, 2024 02:30 pm

[Phison] A Highly-integrated FMEDA Verification Flow to Help Safety-Critical IC’s Certification

Phison is the world leader in NAND controllers & flash storage solutions and also entered automotive market in recent years. Based on the rich design and verification experiences for many automotive and non-automotive IPs and ICs, Phison would like to seek for one automatic and robust methodology for the new automotive projects. Hence Phison had collaborated with Cadence FuSa teams to build up new integrated flow from FMEDA to digital verification tasks for coming out the safety report. In this presentation, Phison safety manager George will share their FuSa DV experiences at the beginning. Based on the collaborations, George will share what they observed when running Cadence FuSa solutions from Midas to Verisium-Manager and Xcelium-Safety. Some deeper collaborations with Midas RD will also be mentioned. Finally, the conclusion from one experienced safety manager is to recommend their design teams adopting this highly integrated flow for safety-critical (ASIL-C and ASIL-D) automotive projects.
speaker headshot

George Hung
Deputy Manager, Phison

time iconAugust 22, 2024 03:00 pm

DESIGNER EXPO & TEA BREAK

3D-IC/Chiplets

time iconAugust 22, 2024 03:20 pm

[Cadence] Advancing 3D-IC Design Enablement through R&D Innovation and Standards Development

3D-IC design with chiplets has been recognized by the semiconductor industry as the next prominent approach in the “More than Moore’s” era to continuously achieve PPAC targets of high-performance computing essential to all fronts like Cloud, AI/ML, CPU/GPU/NPU, just to name a few. 3D-IC system designers inevitably must reach holistic assurance of multiple critical system merits associated with planning, routing, checking, performance analyses at different design stages from feasibility, prototype, to signoff. In this session Cadence will present the latest developments in the Integrity 3D-IC platform along with research and development work conducted by research and industrial partners in 3D homogeneous/heterogenous integration, multi-physics analysis, and die stacking beyond 2 tiers. Latest update on industry standard formats will be presented as well with a brief peek into what is coming next for the Cadence’s unified 3D-IC Platform Solution.
speaker headshot

CT Kao
Product Engineering Group Director, Cadence

time iconAugust 22, 2024 03:50 pm

[GUC] Multi-Chip Integration Using Cadence Integrity 3D-IC Platform with TSMC 3Dblox

1. GUC Advanced Package Technology (APT) Development

2. 3Dblox - 3D Design Intent Description Language

3. 3DIC Implementation Flow with 3Dblox & Integrity 3DIC 

4. Benefits of Using 3Dblox/iHDB in Sign-off Flow

5. Integrity 3DIC Checking

6. Hybrid Bonding/PG Mesh Co-Design

7. Optimized TSV Macro Array Structure 

8. An Efficient Method for 3DIC DECAP Evaluation

9. Voltus 3DIR Solver Capacity Improvement

10. Using Voltus SGUI for 3DIR Result Review

speaker headshot

Hubert Jiang
Assistant Manager, GUC

time iconAugust 22, 2024 04:20 pm

[ITRI] Advanced Packaging Shuttle Service for Heterogeneous Chip Integration

The approach to shared design in heterogeneous integration packaging must begin with integrated design for manufacturability (DFM) as the primary challenge to overcome. When facing diverse requirements for integrated designs of various sizes, the connection between substrate fabrication and assembly processes must be rationalized. This connection directly impacts the definition and utilization of process design rules and performance design constraints. When addressing this issue, ITRI (Industrial Technology Research Institute) encountered challenges from domain experts and numerous customer product demands, requiring a shift in thinking. Through this strategic brainstorming approach, we introduced a bold initiative in advanced packaging—Shuttle Service—that is pioneering within Taiwan.
speaker headshot

Randy Wu
Manager, ITRI

Custom/RF

time iconAugust 22, 2024 01:30 pm

[MediaTek] Spectre X Acceleration with GPU

We will explore the collaborative efforts between Mediatek and Cadence in integrating Nvidia GPU hardware support into SpectreX. We will discuss the motivations behind this partnership and the significant performance improvements observed. Our internal tests have shown that SpectreX, with GPU support, achieves a performance boost ranging from 4 to 10 times. This session will provide insights into the integration process, the challenges faced, and the future prospects of this collaboration. Join us to learn more about how this partnership is pushing the boundaries of software performance.
speaker headshot

Trent Huang
Technical Manager, MediaTek

time iconAugust 22, 2024 02:00 pm

[TSMC/ Cadence] RF Design Migration with Virtuoso Studio

Introduce how to migrate design schematic from original source technology (N16) to new target technology (N6) with similar circuit topology. A methodology for obtaining early assessments of circuit post layout performance through parasitic reuse, and fine tuning device geometries using the optimization feature of a simulator. Accelerate and complete the final circuit layout with the assistance of partial layout extraction and layout migration.
speaker headshot

Brian Lee
Section Manager, TSMC

speaker headshot

Scott Li
AE Director, Cadence

time iconAugust 22, 2024 02:30 pm

[Richtek] Quantus Extraction Solution Interactive DSPF in Virtuoso ADE Assembler

Apply iDSPF format to investigate the effects of parasitics on circuits using Virtuoso Parasitic Aware Design in the analog design simulation environments (ADE Explorer and ADE Assembler) and Virtuoso Schematic Editor (Schematics L/XL) applications.

speaker headshot

Echo Hu
Deputy Technical Manger, Richtek

time iconAugust 22, 2024 03:00 pm

DESIGNER EXPO & TEA BREAK

time iconAugust 22, 2024 03:20 pm

[NTHU] 112Gb/s PAM-4 Optical-Electrical Transceiver IC Design for Co-packaged Optics

Recently, the concept of co-packaged optics (CPO) has been presented to replace traditional pluggable modules. By integrating the electrical IC (EIC) with silicon photonics IC (PIC), the CPO has smaller formfactor and reduced interconnection loss. It improves the EIC’s power efficiency. This talk summarizes our recent research performance on a 112Gb/s PAM-4 EIC. In the electrical side, the proposed TRX architecture reduces the power consumption significantly. In the light side, the TX has linear and nonlinear equalizations to compensate the modulator’s non-idealities. The design considerations of integrating EIC and PIC will also be addressed.
speaker headshot

Dr. Pen-Jui Peng
Associate Professor, NTHU

time iconAugust 22, 2024 03:50 pm

[Cadence] Electro-Thermal Modeling and Simulation for Thermal Management in Co-Packaged Optics (CPO)

Cadence introduced an innovative electro-thermal modeling and simulation approach for thermal management in co-packaged optics (CPO). With the increasing demands of data transmission driven by trends like artificial intelligence (AI) and machine learning (ML), CPO is proving to be a leading technology for achieving high bandwidth and low power consumption. However, formidable thermal challenges arise from the heterogeneous integration of optics and silicon on a single packaged substrate, including increased heat generation and potential crosstalk between photonic integrated circuit (PIC) and electrical integrated circuit (EIC), ultimately resulting in the deterioration of both electrical and photonic performance. Cadence developed a solution in electro-thermal analysis from die to system level, integrating with precise PIC heater and waveguide modeling and EIC power map generation, is illustrated within a single, all-encompassing platform - Celsius Studio. The streamlined design data flow, simulation results and additional what-if analysis findings are demonstrated through customer design. The results and findings accurately predict the thermal distributions, identify the hotspots and comprehensively deliver insights on heat dissipation strategy in design. In the pipeline, Cadence also plans to delve into in-design and optimization analysis in Virtuoso Multi Technology platform. This endeavor aims to shorten design cycle and reduce design margin of CPO in AI and ML applications.
speaker headshot

Wed Cheng
Sr. Product Engineer Manager, Cadence

time iconAugust 22, 2024 04:20 pm

[Terasoft/ MATLAB] Analysis and Design Optimization of Mixed-Signal ICs

As Mixed-Signal ICs adopt newer technologies to enable a wide range of applications, the design of individual components and systems often require deeper insights to explore new architectures and to verify performance across all corners. In this presentation, we will explore how to extract complex metrics and identify trends in large IC simulation databases. We will demonstrate how to optimize multivariable IC designs with different techniques, in order to meet specifications in time and frequency domains. We will use MATLAB to directly control the setup of design variables and parameters in Cadence® Virtuoso®, programmatically run simulations, and analyze results. Finally, we will use surrogate optimization methods to improve the performance of transistor-level IC designs.


Highlights/key takeaways:


•In-depth mixed-signal data analysis and metrics extraction 

•IC design space exploration

•Multivariable optimization of IC designs

speaker headshot

Phoebe Li
Assistant Manager, Terasoft

Digital Design & Machine Learning

time iconAugust 22, 2024 01:30 pm

[MediaTek] The Road to Full-flow: PODV2 Turbo Place Implementation

PPA (Power, Performance and Area) convergence and TAT (Turn Around Time) reduction are the most important indexes during design implementation. In advanced process technology, the cross-stage PPA variations have become more unpredictable due to the complexity of designs. This mismatch will lead to unexpected congestion, timing and utilization rate which causes additional TAT. In the worst-case scenario, the project schedule can be delayed. Therefore, in recent years, the concept of Full-flow has gradually gained attention in RTL to GDS implementation. Once the correlation is handled well, the iterations between stages such as synthesis and P&R can be efficiently reduced. To reach full-flow, several techniques such as Genus iSpatial, Cerebus are introduced by Cadence. Through these solutions, we can achieve more accurate results than the legacy flow. However, in some cases, the hotspot and density correlations are still not as expected due to extremely complicated physical rules this work, we adopted Cadence PODV2 Turbo Place solution during design implementation. PODV2(place_opt_design V2) introduces new engine for run time reducing compared to the original POD (place_opt_design) engine. Furthermore, through PODV2, physical synthesis can easily reach P&R placement stage s quality. According to our real product results, we got correlated result through Genus synthesis to P&R with 10~30% full-flow runtime enhancem

speaker headshot

Ching-Yu Shih
Senior Engineer, MediaTek

speaker headshot

Will Lin
Senior Manager, MediaTek

time iconAugust 22, 2024 01:55 pm

[MediaTek] Abort Guru: Abort Source Diagnosis

Abort is a common issue while running Conformal LEC. As the increases of design complexity and the progress of synthesis optimization technology, we would be facing aborts, which are difficult to solve, while verifying the function of RTL and netlist frequently. In order to solve aborts, there can be numerous combinations of analyzing method, which can result in excessive waste of time on trial and error. In our experiences, RTL abort source diagnosis plays an important role in resolving abort issues. By using RTL abort source diagnosis, Conformal will analyze and sort the abort root cause by categories and locate the specific RTL line of which causes abort. With the given hint on abort source diagnosis report, we could solve abort by using the recommended analyzing command or recode the RTL.
speaker headshot

Yao-Nien Sui
Flow Development Engineer, MediaTek

time iconAugust 22, 2024 02:20 pm

[Cadence] Achieving Best-in-Class PPA with the Cadence Tempus Design Robustness Analysis Suite

The Tempus DRA Suite comprises five advanced timing analyses: aging robustness, voltage robustness, timing robustness, silicon prediction, and VT skew robustness. The suite’s advanced timing models enable design engineers to accurately analyze these effects with significantly reduced pessimism compared to traditional approaches such as derates or margins. Furthermore, design engineers may use the timing data to drive optimization and signoff closure using the Tempus ECO Option from within the Cadence Innovus Implementation System or using the Cadence Certus Closure Solution. This integrated approach ensures the best PPA and fastest closure from block-level to subsystem-level/full-chip design.

speaker headshot

Louis Lin
Principal Product Engineer , Cadence

time iconAugust 22, 2024 02:45 pm

DESIGNER EXPO & TEA BREAK

time iconAugust 22, 2024 03:05 pm

[Realtek] Voltus InsightAI: An Effective and Practical Way to Improve Power Integrity

speaker headshot

Hsiao-Tung Chou
Senior Engineer, Realtek

time iconAugust 22, 2024 03:30 pm

[Phison] IR Drop Optimization By Voltus InsightAI

Nowadays, the digital design scale grows up sharply. Not only timing closure is a challenge, but also power closure. Before Voltus InsightAI rolls out, PD/IR always spends several iterations to fix IR problems with long turnaround time. In 2024, Cadence introduced an amazing solution ‘Voltus InsightAI’. It can help user to reduce the iteration and speed up the verification time. The PG enhancement of Voltus InsightAI will neither degrade timing nor induce any DRC violations. No doubt, Voltus InsightAI is a powerful tool for IR improvement automatically. We really appreciate Cadence can provide this amazing product.

speaker headshot

Hung Liu
Engineer, Phison

time iconAugust 22, 2024 03:55 pm

[Realtek] Cerebrus AI of FloorPlan Optimization

Cerebrus FloorPlan Optimization is a powerful new generation AI of engine which can handle macros and STD cells' placement concurrently. It provides PPA (power, performance, area) improvements and greatly reduces the turnaround time to find an optimal floorplan, and shrink the area more effectively. In this presentation, we will introduce the attractions of Cerebrus FloorPlan Optimization in our case. We will also talk about the area for improvements and what is under working with Cadence now.

speaker headshot

Will Lin
Manager, Realtek

time iconAugust 22, 2024 04:20 pm

[Phison] Efficiency and Accurate Design Implementation by Cerebrus ML

Low-power design has become more challenging with the downscaling of technology. 

Cadence Cerebrus ML flow provides an automatic and reliable solution to reduce leakage power.

By using the FP-Opt flow, better PPA and UR can be achieved.

speaker headshot

Welson Lin
Senior Engineer, Phison

Multiphysics In-Design Analysis

time iconAugust 22, 2024 01:30 pm

[Cadence] The Next Generation of Data Center Design and Operations

speaker headshot

Charlie Shih
Product Engineering Group Director, Cadence

speaker headshot

Bear Wang
Cadence, Sr AE Manager

time iconAugust 22, 2024 02:00 pm

[NVIDIA] Accelerate Data Center Design with Digital Twin

speaker headshot

Andrew Liu
Senior Solution Architect, NVIDIA

time iconAugust 22, 2024 02:30 pm

[Google] AI-Powered Memory Design Platform

Memory channel design is a critical yet complex aspect of high-performance systems. This presentation explores how Sigrity empowers system designers to streamline the memory channel review and optimization process. Key Highlights: - Leverage the latest Sigrity innovation AI-aware Optimality to automatically prioritize design parameters and fine-tune routings for optimal performance, saving you valuable design time. - A new back-annotation flow seamlessly integrates with Allegro/Sigrity, enabling effortless translation of optimized routings back to your design
speaker headshot

Ryan Hou
Senior SI/PI Engineer, Google

time iconAugust 22, 2024 03:00 pm

DESIGNER EXPO & TEA BREAK

time iconAugust 22, 2024 03:20 pm

[Silicon Motion] High-Speed PCB Simulation in Cadence Clarity 3D Solver

In response to the development of shorter development and more diverse products, it is necessary to obtain electrical analysis data more quickly to accelerate product development and conduct more detailed evaluations. This sharing demonstrates Cadence Clarity 2024’s new technology and two case studies using Cadence Clarity.
speaker headshot

Pohui Yu
Supervisor Engineer, Silicon Motion

time iconAugust 22, 2024 03:50 pm

[Supermicro]Celsius 3D Busbar Electrothermal Co-Simulation Case Study Analysis Inspired by Innovative Technology

Explore how to utilize Cadence Celsius Thermal Solver to integrate the 3D Busbar model with the PCB board, achieving seamless system-level 3D electrothermal co-simulation (ET co-simulation).
speaker headshot

Kelly Su
Staff Power Integrity Engineer, Supermicro

time iconAugust 22, 2024 04:20 pm

[NSYSU] Warpage Analysis of Thermo-Compression Bonding on The Coreless Substrate

Currently, packages use Fan Out Multi Chip Module (FO-MCM), small bump diameters, high Tg and ultra-low K materials. When MCM and the coreless substrate warp in different directions during the heating cycle, this results in very high stress on the bumps. The traditional mass reflow (MR) flip chip bonding process is no longer suitable for this scenario. To overcome this problem, the TCB process is introduced. This process uses a soldering head to press large MCM areas, suppressing MCM warpage. The soldering head applies pressure along the Z-axis after the bumps have melted, reducing the bump height between the MCM and the coreless substrate. The warpage behavior of the substrate due to TCB process heating can be suppressed by vacuum adsorption to a ceramic carrier plate. This topic is utilized Cadence Celsius to build up the case and run stress warpage simulation to evaluate the Thermal Compression Bonding process. For the what if design to observe the warpage result with temperature aware conditions.


現今,封裝體採用 Fan Out Multi Chip Module (FO-MCM)、小凸塊直徑、高 Tg 和超低 K材料,當 MCM 與無核基板於加熱週期產生不同方向的翹曲,進而拉扯 bump 產生極高應力,以往透過熱迴流(mass reflow, MR)的 flip chip bond 製程已無法適用,為了克服這個問題,引入 TCB 製程,利用焊接頭對大面積的 MCM 進行壓合,抑制 MCM 翹曲問題,並且透過焊接頭,在 bump 融溶之後在 Z 軸施加壓力進行下壓,也可達到縮小 MCM 與無核基板之間的 bump 高度。因 TCB 製程加熱而造成基板的翹曲行為可透過下方的陶瓷載板的真空槽進行吸附並抑制基板的翹曲。基於現場實務考量,利用 Cadence,針對封裝載板進行真空槽孔大小、形狀、距離進行設計,並建置熱壓銲合(Thermal Compression Bonding, TCB)製程環境,模擬晶片與封裝載板於真空熱壓銲合製程中隨溫度變化之翹曲情形。 


speaker headshot

Yu Chen Li
College of Semiconductor and Advanced Technology Research,NSYSU

System Level Verification

time iconAugust 22, 2024 01:30 pm

[Cadence] SimAI: Maximizing Coverage with AI

In the dynamic hardware design and verification sphere, achieving exhaustive test coverage amidst escalating complexity presents a formidable challenge. Learn how Cadence’s Verisium SimAI utilizes machine learning to scrutinize past regression outcomes, analyze the nature of remaining coverage holes, and correlate randomization events to design states around the remaining holes. The technology can also remove redundancy to efficiently use compute resources and target rare scenarios to hunt for difficult bugs. Maximize your verification productivity by incorporating SimAI in your verification cycle.

speaker headshot

Anika Sunda
Sr Product Marketing Manager, Cadence

time iconAugust 22, 2024 01:55 pm

[MediaTek] Hybrid Verification of Cache Coherence in AMBA CHI Bus Fabric using Cadence Perspec

Verifying cache coherence in modern System-on-Chip (SoC) designs is a complex task, especially when it comes to AMBA CHI bus fabric-related issues. Traditional verification methods using embedded C such as direct test or Cadence Perspec in C-based approach often struggle to adequately verify AMBA CHI bus fabric-related cache coherence problems, leading to system deadlock. In this paper, we propose a novel hybrid verification approach using Cadence Perspec to overcome these challenges. Our approach leverages Perspec's hybrid verification capabilities to create a comprehensive verification environment that targets AMBA CHI bus fabric-related cache coherence issues in multi-cluster SoC designs. We utilize Perspec's hybrid verification engine to combine C-based and VIP-based verification, enabling the creation of complex test scenarios that exercise the cache coherence protocol in the AMBA CHI bus fabric. Additionally, we employ a system verification scoreboard (SVD) to check the correctness of coherent data in the multi-cluster design. Furthermore, we provide test scenario coverage and VIP protocol coverage metrics to measure the quality of verification. Experimental results demonstrate the effectiveness of our approach in improving verification efficiency and accuracy. Our methodology can be applied from IP subsystems to SoC designs, enabling more reliable verification of complex cache coherent systems.
speaker headshot

Jiang-Tang Xiao
Senior Engineer, MediaTek

time iconAugust 22, 2024 02:20 pm

[Andes] Adopts ISA-Formal On High-End Out-Of-Order Execute RISC-V Cores

This presentation focuses on a formal verification approach: utilizing ISA-Formal techniques to verify a commercial RISC-V out-of-order (OOO) CPU pipeline. We will share our experience in ISA-formal verification through three major steps: A reusable ISA model generated from Sail RISC-V. A pipeline follower to collect information from design. An universal assertion to make sure the RTL produces the same result as predicted. First, we demostrate the steps of using Sail RISC-V formal model with custom codes (e.g., RAM read and write functions) to create reusable instruction models. Secondly, we leverage the reorder buffer (ROB) structure to develop a CPU pipeline follower to extract essential information, such as general purpose registers (GPRs) states, and system control state registers (CSRs). Finally, we describe the implementation of the one-cycle assertion model to setup the ISA formal check. Our presentation aims to provide a comprehensive overview of the methodology, challenges, and solutions encountered in the formal verification of RISC-V OOO cores. Attendees will gain valuable insights into the practical application of ISA-formal techniques in verifying modern CPU designs.
speaker headshot

Stanley Yu-Tse Huang
Verification engineer,Andes

time iconAugust 22, 2024 02:45 pm

DESIGNER EXPO & TEA BREAK

time iconAugust 22, 2024 03:05 pm

[Cadence] Emulation Moves Into 4-State Logic and Real Number Modeling

Since the inception of hardware emulation, emulators have supported verification of digital designs using 2-state logic. The underlying hardware used for emulation has directly modeled the logic of a design with just 0 and 1 values. This session will show how support for 4-state logic improves emulation of digital designs and support for real numbers improves emulation for digital mixed signal designs. 4-state logic emulation has a range of applications. Low power verification controlled with UPF is only partially modeled when constrained to 2-state. This session will present how the corruption, isolation, and propagation of X values in emulation leads to greater verification and simplified debugging. We will also look at how introducing the fourth state value Z into emulation improves the verification of multi-driven busses. All large digital designs must be emulated, but those designs are typically surrounded by analog content for communication to memories, high speed interfaces and RF communication. This analog content is also configured and controlled by processors in the digital design, but the analog content couldn’t be emulated. This session will show how adding dedicated floating-point hardware to an emulation processor along with extending the emulation software to understand real number handling and the timing constraints of an analog design extends emulation into the mixed signal domain.
speaker headshot

Michael Young
Sr Product Management Group Director,Cadence

time iconAugust 22, 2024 03:30 pm

[GUC] To Simulate, or To Emulate, that is Not a Question: How We Conquer the HBM-IP Verification

speaker headshot

Philip (Ming-Fu) Tsai
Director,GUC

time iconAugust 22, 2024 03:55 pm

[Phison] Emulating Designs on Palladium with Real-world Stimulus

Cadence provides various SpeedBridges - tested physical interfaces – allowing Palladium and Protium to quickly integrate with external systems, networks and/or test equipment. They allow design teams to emulate the designs with real-world applications, such as booting the operation systems, transferring files, and display graphics/videos. Phison has been using Palladium with different type of SpeedBridges to validate our designs for years and will share our experiences in using the SpeedBridges with case study in this presentation.
speaker headshot

Kuo-Hsin Lai
Senior Manager,Phison

time iconAugust 22, 2024 04:20 pm

[MediaTek] GPU Frame-level Power Analysis with DPA

In recent years, SmartPhone has great computing power advancement and could run games in high res and fps, but GPU capability depends. 

There are metrics ODM company and Gamer usually used to value GPU like power, performance and power efficiency, …,etc. 

Therefore, how to determine the metrics in pre-silicone stage, and then depend the results to have quick design iteration or software finetune becomes more important. 

In traditional method, designer usually pickup representative micro second scale frames from second or minute scale application for power profiling. 

The power profiling runtime of a frame usually takes over a week with commercial power signoff tool. 

However, this kind of runtime scale is not executable. Even designers complete all scenarios’ power profiling, they can only make changes on next generation.

In this work, we adopt DPA on GPU frame-level power profiling to achieve finishing micro second frame power calculation in 6 hours and still having 95% competitive accuracy in average.


speaker headshot

Li-Cheng Zheng
Senior Engineer, MediaTek